一个Verilog语法问题我写了一个任务,提示错误:Line 140: Syntax error near "gener

一个Verilog语法问题
我写了一个任务,提示错误:Line 140: Syntax error near "generate".
task lpush;
integer j;
generate
for(j=0;j<=`T;j=j+1)begin:B
Lambda[j]<=lmult[j];
end
endgenerate
endtask
aiyue0306 1年前 已收到1个回答 举报

大步奔跑 幼苗

共回答了20个问题采纳率:85% 举报

genvar j;

1年前

10
可能相似的问题
Copyright © 2024 YULUCN.COM - 雨露学习互助 - 16 q. 0.029 s. - webmaster@yulucn.com