Error (10500): VHDL syntax error at cj200.vhd(17) near text

Error (10500): VHDL syntax error at cj200.vhd(17) near text ":="; expecting "(", or "'", or "."
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY cj200 IS
PORT (CLK :IN STD_LOGIC;
DB:INOUT STD_LOGIC_VECTOR(7 DOWNTO 0);
AB:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
CS:OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
RD:OUT STD_LOGIC;
WR:OUT STD_LOGIC);
END cj200;

ARCHITECTURE TESTDA OF cj200 IS
VARIABLE ys : INTEGER;
VARIABLE x : STD_LOGIC_VECTOR(7 DOWNTO 0);
BEGIN
x := "00000000";
LOL:LOOP
ys:=0;
DB
wudongmei2121 1年前 已收到1个回答 举报

kmyzygame 幼苗

共回答了20个问题采纳率:95% 举报

初步看了一下,process不可以放在loop循环里面,还有变量VARIABLE只能在process里面用

1年前

10
可能相似的问题
Copyright © 2024 YULUCN.COM - 雨露学习互助 - 16 q. 0.014 s. - webmaster@yulucn.com