用VHDL设计四个开关控制一盏灯的逻辑电路,要求改变任意开关的状态能够引起灯亮灭状态的改变.(即任一开

2000ff 1年前 已收到1个回答 举报

跳跳糖铛铛 幼苗

共回答了24个问题采纳率:91.7% 举报

SIGNAL sw1,sw1_r :STD_LOGIC := '0';
SIGNAL sw2,sw2_r :STD_LOGIC := '0';
SIGNAL sw3,sw3_r :STD_LOGIC := '0';
SIGNAL sw4,sw4_r :STD_LOGIC := '0';
SIGNAL status :STD_LOGIC := '0';
sw_proc:PROCESS(clk)
BEGIN
IF RISING_EDGE(clk) THEN
IF rst = '1' THEN
sw1_

1年前

2
可能相似的问题
Copyright © 2024 YULUCN.COM - 雨露学习互助 - 16 q. 1.092 s. - webmaster@yulucn.com