,我有2个VHDL源程序调试不出来.

,我有2个VHDL源程序调试不出来.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity smultadd1 is
port (clk_regbt,clk_reg:in std_logic;
a0,a1,a2,b0,b1,x0,x1,x2:in std_logic_vector(4 downto 0);
y0,y1:in std_logic_vector(8 downto 0);
yout:out std_logic_vector(8 downto 0));
end smultadd1;
architecture bhv of smultadd1 is
signal tan,tbn,tp2n:std_logic;
signal cnt:std_logic_vector(2 downto 0);
signal ta,tb,taa,tbb:std_logic_vector(3 downto 0);
signal tmpa,tmpb:std_logic_vector(4 downto 0);
signal tp,tpp,tppp:std_logic_vector(7 downto 0);
signal ytmp,p:std_logic_vector(8 downto 0);
begin
tmpa'0');
tmpb'0');
ta
front_cover 1年前 已收到1个回答 举报

朋友多 幼苗

共回答了21个问题采纳率:90.5% 举报

tmpb'0');
这里有问题吧
tmpb:std_logic_vector(4 downto 0);
y0,y1:in std_logic_vector(8 downto 0);
tmpb和y0、y1信号的位数不一样

1年前 追问

1

front_cover 举报

怎么解决、???

举报 朋友多

想解决就把y0,y1:in std_logic_vector(8 downto 0);改成 y0,y1:in std_logic_vector(4 downto 0); 或者 y0(4 downto 0) when cnt=3 else y1(4 downto 0) when cnt=4 else (others=>'0'); 就是不知道这么改 你要的功能还对不对
可能相似的问题
Copyright © 2024 YULUCN.COM - 雨露学习互助 - 17 q. 0.623 s. - webmaster@yulucn.com