帮忙分析下vHdl程序中(5 DOWNTO 0); 表示什么 怎么来的

帮忙分析下vHdl程序中(5 DOWNTO 0); 表示什么 怎么来的
ARCHITECTURE Behavioral OF FIFO IS
TYPE fifo_array IS ARRAY(0 TO 63) OF STD_LOGIC_VECTOR(7
DOWNTO 0);
—定义长为64宽为8的数组类型
SIGNAL fifo_memory :fifo_array; —定义FIFO的储存介质;
SIGNAL full_flag :STD_LOGIC; —内部满标志信号;
SIGNAL empty_flag :STD_LOGIC; —内部空标志信号
SIGNAL read_addr :STD_LOGIC_VECTOR(5 DOWNTO 0); —读地址
SIGNAL write_addr :STD_LOGIC_VECTOR(5 DOWNTO 0); —写地址
bighotcn 1年前 已收到1个回答 举报

jmc116 幼苗

共回答了18个问题采纳率:83.3% 举报

SIGNAL write_addr :STD_LOGIC_VECTOR(5 DOWNTO 0);
声明了一组信号,信号名称write_addr,信号类型STD_LOGIC_VECTOR,这个信号的类型是个std_logic数组,它的下标变化范围是(5 DOWNTO 0),也就是说,有6位std_logic类型构成的数组.
说的白一些,就是信号(写地址)write_addr有6根地址线,名称是write_addr(5)~write_addr(0).
信号read_addr也类似.

1年前

7
可能相似的问题
Copyright © 2024 YULUCN.COM - 雨露学习互助 - 17 q. 0.073 s. - webmaster@yulucn.com