五、设计一个组合电路,输入8421BCD码,若输入能被4或5整除,输出 为1,否则为0.

五、设计一个组合电路,输入8421BCD码,若输入能被4或5整除,输出 为1,否则为0.
五、设计一个组合电路,输入8421BCD码,若输入能被4或5整除,输出
为1,否则为0.
要求:(1)用TTL与非门实现,且无冒险现象
(2)用OC门实现 (3)用八选一多路选择器实现
雨月亮 1年前 已收到1个回答 举报

奥菲莉雅 花朵

共回答了21个问题采纳率:90.5% 举报

如果定义为 :“设计一个码检验电路,当输入的四位二进制数a、b、c、d为8421bcd码时,输出y为0,否则y为1.” 就很容易理解和实现.
如下:
8421bcd 的编码范围是 0000 0001 …… 1000 1001,你只需要检测出来是不是 以下六编码就可以了:1010 1011 1100 1101 1111
列出真实表就可以写出表达式了.
画出卡诺图 能得出来
Y=ab+ac
使用 与 或 逻辑就可以了
最后只需要 在输出端 加上一个 非门就 可以 符合你题目的要求了.
另外,虚机团上产品团购,超级便宜

1年前

9
可能相似的问题
Copyright © 2024 YULUCN.COM - 雨露学习互助 - 17 q. 1.882 s. - webmaster@yulucn.com