求一段简单的VHDL翻译成Verilog 如下 --********************

求一段简单的VHDL翻译成Verilog 如下 --********************
求一段简单的VHDL翻译成Verilog
如下
--********************************************
ENTITY light is
PORT(
clk1 : IN STD_LOGIC;
light: buffer std_logic_vector(7 downto 0)
);
END light;
--*********************************************
ARCHITECTURE behv OF light IS
constant len : integer:=7;
signal banner : STD_LOGIC:='0';
signal clk,clk2: STD_LOGIC;
锦紫苏1111 1年前 已收到1个回答 举报

luoguoxin 幼苗

共回答了9个问题采纳率:88.9% 举报

module light ( clk1,light );
input clk1;
output reg [7:0] light;
parameter len =4'b0111;
wire banner;
wire clk;
wire clk2;
这后面还有吧应该。。最后记得写endmodule

1年前

8
可能相似的问题
Copyright © 2024 YULUCN.COM - 雨露学习互助 - 16 q. 0.034 s. - webmaster@yulucn.com