找出下面VHDL程序中的错误,并加以改正

找出下面VHDL程序中的错误,并加以改正
找出下面VHDL程序中的错误,并加以改正(可以在原程序上修改、添加)
entity many_errors is port
x05x05a:bit_vector(3 to 0);
x05x05b:out std_logic_vector(0 to 3) ;
c:in 布儿
end many_errors
architecture not_so_good of many_errors
begin
my_label:process
begin
x05x05x05if c=x‘1’then
b
水铃儿 1年前 已收到1个回答 举报

waltz0112 幼苗

共回答了12个问题采纳率:91.7% 举报

entity many_errors is
port (
a:in std_logic_vector(3 downto 0);--注意保持数据高低位的一致
b:out std_logic_vector(3 downto 0) ;--不要有中文输入发字符
c:in std_logic);
end entity;
architecture not_so_good of many_errors
begin
my_label:process(c,a) --进程的敏感信号
begin
if c=x‘1’then

1年前

5
可能相似的问题
Copyright © 2024 YULUCN.COM - 雨露学习互助 - 16 q. 2.551 s. - webmaster@yulucn.com